电科21年春《EDA技术》课程在线作业1【答案】奥鹏答案

作者:奥鹏作业答案 字体:[增加 减小] 来源:电子科技大学 时间:2021-04-09 12:10

电科21年春《EDA技术》课程在线作业1【答案】 试卷总分:100 得分:100 一、单选题 (共 20 道试题,共 100 分) 1.在VHDL中,结构体内部是由( )语句组成的。 A.顺序 B.并行 C.顺序和并行 D.任何 2.库(

电科21年春《EDA技术》课程在线作业1【答案】奥鹏答案

电科21年春《EDA技术》课程在线作业1【答案】奥鹏作业满分答案

电科21年春《EDA技术》课程在线作业1【答案】

试卷总分:100  得分:100

一、单选题 (共 20 道试题,共 100 分)

1.在VHDL中,结构体内部是由( )语句组成的。

A.顺序

B.并行

C.顺序和并行

D.任何

 

2.库(LIBRARY)包括哪几大类

A.IEEE 库、STD 库、面向ASIC的库、用户定义库

B.IEEE 库、STD 库、WORK库、用户定义库

C.IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库

D.STD 库、WORK库、面向ASIC的库、用户定义库

 

3.下面哪一条命令是MAX+PLUSII在时序仿真时执行加载节点的命令?( )。

A.file-> set project to current file

B.assign->pin/location chip

C.node->enter node from SNF

D.file->create default symbol

 

4.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。

A.=

B.:=

C.<=

D.=>

 

5.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式

A.512x8,1024x4,2048x2,4096x1

B.256x8,512x4,1024x2,2048x1

C.256x4,512x2,1024x1

D.256x16,512x8,1024x4,2048x2

 

6.下列关于变量的说法正确的是

A.变量是一个局部量,它只能在进程和子程序中使用。

B.变量的赋值不是立即发生的。

C.在进程的敏感信号表中,既可以使用信号,也可以使用变量。

D.变量赋值的一般表达式为:目标变量名<= 表达式。

 

7.进程语句的启动条件是

A.wait语句或敏感信号量

B.wait语句

C.敏感信号量

D.wait语句或且敏感信号量

 

8.VHDL数据对象有

A.常量、变量

B.变量、信号

C.常量、变量、信号

D.信号

 

9.下列关于元件例化语句的说法正确的是

A.位置关联方式与顺序有关,名称关联方式与顺序有关。

B.位置关联方式与顺序有关,名称关联方式与顺序无关。

C.位置关联方式与顺序无关,名称关联方式与顺序有关。

D.位置关联方式与顺序无关,名称关联方式与顺序无关。

 

10.使用STD_LOGIG_1164使用的数据类型时( )。

A.可以直接调用

B.必须在库和包集合中声明

C.必须在实体中声明

D.必须在结构体中声明

 

11.MAX+PLUSII中VHDL文件名必须和______名相同。

A.项目、结构体

B.实体、结构体

C.项目、实体

D.结构体

 

12.使用QuartusⅡ工具软件实现原理图设计输入,应采用( )方式。

A.图形编辑

B.文本编辑

C.符号编辑

D.波形编辑

 

13.VHDL的语言要素包括以下几类

A.数据对象、数据类型、操作数、操作符

B.数据对象、结构体、操作数、操作符

C.实体、数据类型、操作数、操作符

D.数据对象、配置、操作数、重载操作符

 

14.变量是局部量,可以写在( )。

A.实体中

B.进程中

C.线粒体

D.种子体中

 

15.根据VHDL语法规则,下面哪个标识符是非法的标识符

A.not—Ack

B.constant

C.FFT_1024_1

D.state0

 

16.国际上生产FPGA/CPLD的三家主流公司为

A.Altera、Xilinx、Lattice公司

B.Altera、Marax、Lattice公司

C.IBM、Xilinx、Lattice公司

D.Altera、Xilinx、AD公司

 

17.关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。

A.’00

B.10

C.‘01

D.11

 

21.下列关于变量的说法正确的是( )。

A.变量是一个局部量,它只能在进程和子程序中使用。

B.变量的赋值不是立即发生的,它需要有一个δ延时。

C.在进程的敏感信号表中,既可以使用信号,也可以使用变量。

D.变量赋值的一般表达式为:目标变量名<= 表达式。

 

19.Altera FLEX 10K 系列器件中的EAB大小为______________位。

A.256

B.512

C.1024

D.2048

 

20.在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把他们汇集在( )中。

A.设计实体

B.子程序

C.结构体

D.程序库

 

电科21年春《EDA技术》课程在线作业1【答案】奥鹏作业标准满分答案

作业咨询:
点击这里给我发消息

论文咨询:
点击这里给我发消息

合作加盟:
点击这里给我发消息

服务时间:
8:30-24:00(工作日)